• Stars
    star
    178
  • Rank 207,126 (Top 5 %)
  • Language
    C++
  • License
    Apache License 2.0
  • Created over 4 years ago
  • Updated 4 months ago

Reviews

There are no reviews yet. Be the first to send feedback to the community and the maintainers!

Repository Details

Universal Hardware Data Model. A complete modeling of the IEEE SystemVerilog Object Model with VPI Interface, Elaborator, Serialization, Visitor and Listener. Used as a compiled interchange format in between SystemVerilog tools. Compiles on Linux gcc, Windows msys2-gcc & msvc, OsX

Universal Hardware Data Model (UHDM)

UHDM Overview

UHDM Roadmap

Presentation

Purpose

  • Auto generate a concrete C++ implementation of the SystemVerilog (VHDL in future) Object Model following the IEEE standard object model
  • Auto generate a standard VPI interface as a facade to the C++ model
  • Auto generate a serialization/deserialization of the data model
  • Auto generate a Visitor (Walker) function that exercise the entire VPI interface (used in uhdm-dump executable)
  • Auto generate a C++ Listener Design Pattern that traverse the entire VPI data model (used in uhdm-listener executable)
  • Auto generate an Elaborator that uniquifies nets, variables...
  • The generated Object Model can, for a given design, be:
    • Populated by parsers like Surelog or Verible
    • Consumed by tools like Yosys or Verilator

HowTo

 * git clone https://github.com/alainmarcel/UHDM.git
 * cd UHDM
 * git submodule update --init --recursive
 * make

Features

  • All SystemVerilog models are expressed in a Yaml type syntax (One file per Verilog Object Model)
  • From this Yaml description, all the code (C++ headers, VPI Interface, Serialization) is automatically generated.
  • Model inheritance and object/class grouping is supported (To follow the IEEE standard)
  • Supports the concept of "design" on top of the IEEE standard to support partitioning and multi-language (SystemVerilog - VHDL)
  • Any deviation/addition from the standard is cleary indicated by a uhdm prefix, IEEE standard API is either prefixed by vpi (Verilog) or vhpi (VHDL).

Model Concepts

  • The model is captured in .yaml files, one per object models detailed pages 976-1050 of the SystemVerilog 2017 IEEE standard.
  • To match the standard, several concepts are observed in the model:
    • obj_def: A leaf object specification (Object can be allocated and persisted)
    • class_def: A virtual class specification (Class is used either with inheritance - extends:, or as composition of a - class_ref)
    • property: Typically an int, bool, string property with a name and a vpi access type (ie: vpiModule) accessed by the vpi_get function
    • obj_ref: A reference to one (accessed by vpi_handle) or many (accessed by vpi_iterate) leaf objects
    • class_ref: A reference to one or many virtual class, actual objects returned will be of a leaf type
    • extends: Class inheritance specified by the extends keyword
    • group_def: Grouping of objects in a named or unnamed group (We actually give a representative name to unnamed groups)
    • group_ref: A reference to one or many members of a group of objects
  • Keywords used to capture the model in Yaml
    • all of the above keywords (obj_def...group_ref),
    • For each reference (obj_def, class_def, group_def) and property, the following sub fields:
    • name: the name of the field (spaces accepted), verbatim from the standard
    • vpi: the name of the VPI access type to access this object member (Has to match a defined value in vpi_user.h or sv_vpi_user.h)
    • type: the formal type of the field:
      • obj_ref
      • class_ref
      • group_ref
      • int
      • unsigned int
      • bool
      • string
      • value (VPI s_vpi_value)
      • delay (VPI s_vpi_delay)
    • card: cardinality of the field
      • 1
      • any (0 or more)
  • The Standard VPI Data Model is Fully Elaborated, in contrast:
  • When created by Surelog, the UHDM/VPI Data Model is a Folded Model that we found most suitable for applications like Yosys and Verilator:
    • The Instance tree contains the Design Hierarchy and Elaborated Nets/Ports with High conn and Low conn connections done.
    • The module definitions contain the logic elements (non-elaborated, and only outside generate statements)
    • Generate statements and underlying logic are only visible in the elaborated model (topModules)
    • To get the complete picture of the design one has to use both views (Example in listener_elab_test.cpp)
    • Applications where the UHDM data model is used as a precursor to another internal datastructure like a Synthesis or Simulator tool will prefer using the Folded Model.
    • Nets, Ports, Variables in the flat module list (allModules) don't necessary have the correct data type as not enough elaboration steps were performed on them
    • On the other hand, Nets, Ports, Variables have the correct type in the elaborated view (topModules)
    • Lhs vs Rhs expression padding is not performed at this point (We welcome PR contributions)
  • UHDM offers an optional Elaboration step that uniquifies nets, ports, variables and function by performing a deep cloning and ref_obj binding.
    • See full_elab_test.cpp and uhdm-dump.cpp
    • Applications where the UHDM data model is free standing and is the sole data structure for the design representation will prefer the Fully Elaborated Data Model, examples: Linters or Code Analyzers.
    • At this point, UHDM does not offer:
      • the full bit blasted model available in the commercial EDA applications (We welcome contributions).
      • an expression evaluator that operates on the UHDM expression tree (We welcome contribuitons).
    • Issue 319 discusses more on the topic of elaboration

Model creation

  • The model creation task consists in converting the Object Model diagrams into their Yaml representation and invoking the creation of the concrete C++ classes, iterators, serialization code by invoking "make"
  • How to create the model (presentation)

Actual Design creation

  • The design creation task consists in invoking:
    • the proper concrete object factory methods to get serializable objects
    • populate the properties to the obtained objects
    • assemble the model by creating legal object relations (compile time and runtime checking) following the IEEE standard
    • invoking the serialization call
  • Read module-port_test.cpp

Design Navigation

Linking libuhdm.a to your application

  • After instaling (make install), create your own executable (Read Makefile) , ie:
  • $(CXX) -std=c++17 tests/test1.cpp -I/usr/local/include/uhdm -I/usr/local/include/uhdm/include /usr/local/lib/uhdm/libuhdm.a /usr/local/lib/uhdm/libcapnp.a /usr/local/lib/uhdm/libkj.a -ldl -lutil -lm -lrt -lpthread -o test_inst

Generating uhdm databases

  • Surelog generates natively UHDM databases (surelog.uhdm)
  • Other parsers are welcome to generate UHDM databases

Python API

  • When uhdm is compiled as a shared library and the UHDM_WITH_PYTHON is set, it also builds a swig wrapper for python (-DUHDM_WITH_PYTHON=ON using cmake command, or make release-shared UHDM_WITH_PYTHON=ON, also make sure your python executable is built with shared libraries enabled: env PYTHON_CONFIGURE_OPTS="--enable-shared" pyenv install -verbose 3.9.11). The python wrapper implements almost all the VPI getter API from systemVerilog. See chapter 38. VPI routine definitions of Ieee1800-2017 for details.
  • Find here a short example that assumes an existing database. It will print all module names existing in the first design :
import uhdm

#build uhdm Serializer object
s = uhdm.Serializer()
#Read and uhdm database
data = s.Restore('surelog.uhdm')

#Your specific application
#Here create an iterator on all module in the first design
module_iterator = uhdm.vpi_iterate(uhdm.uhdmallModules,data[0])

#iterate on all module
while(True):
   vpiObj_module = uhdm.vpi_scan(module_iterator)
   if vpiObj_module is None:
       break
   #print the string pointed by vpiName attribut of vpiObj_module
   print(uhdm.vpi_get_str(uhdm.vpiName,vpiObj_module))

Useful links

More Repositories

1

chisel

Chisel: A Modern Hardware Design Language
Scala
3,691
star
2

rocket-chip

Rocket Chip Generator
Scala
2,986
star
3

verible

Verible is a suite of SystemVerilog developer tools, including a parser, style-linter, formatter and language server
C++
1,184
star
4

riscv-dv

Random instruction generator for RISC-V processor verification
Python
950
star
5

Cores-VeeR-EH1

VeeR EH1 core
SystemVerilog
771
star
6

firrtl

Flexible Intermediate Representation for RTL
Scala
690
star
7

chisel-template

A template project for beginning new Chisel work
Scala
517
star
8

Surelog

SystemVerilog 2017 Pre-processor, Parser, Elaborator, UHDM Compiler. Provides IEEE Design/TB C/C++ VPI and Python AST & UHDM APIs. Compiles on Linux gcc, Windows msys2-gcc & msvc, OsX
C++
328
star
9

f4pga

FOSS Flow For FPGA
Python
310
star
10

f4pga-examples

Example designs showing different ways to use F4PGA toolchains.
Verilog
259
star
11

sv-tests

Test suite designed to check compliance with the SystemVerilog standard.
SystemVerilog
257
star
12

Cores-VeeR-EL2

VeeR EL2 Core
SystemVerilog
222
star
13

Cores-VeeR-EH2

SystemVerilog
202
star
14

dromajo

RISC-V RV64GC emulator designed for RTL co-simulation
C++
198
star
15

treadle

Chisel/Firrtl execution engine
Scala
147
star
16

silicon-notebooks

Jupyter Notebook
143
star
17

synlig

SystemVerilog support for Yosys
Verilog
131
star
18

aib-phy-hardware

Advanced Interface Bus (AIB) die-to-die hardware open source
Verilog
117
star
19

VeeR-ISS

C++
103
star
20

fpga-tool-perf

FPGA tool performance profiling
Python
96
star
21

Caliptra

Caliptra IP and firmware for integrated Root of Trust block
94
star
22

fasm

FPGA Assembly (FASM) Parser and Generator
Python
85
star
23

yosys-f4pga-plugins

Plugins for Yosys developed as part of the F4PGA project.
Verilog
82
star
24

t1

Scala
81
star
25

omnixtend

OmniXtend cache coherence protocol
TeX
72
star
26

playground

chipyard in mill :P
Scala
72
star
27

uvm-verilator

SystemVerilog
52
star
28

caliptra-rtl

HW Design Collateral for Caliptra RoT IP
SystemVerilog
49
star
29

rocket-tools

Software tools that support rocket-chip (GNU toolchain, ISA simulator, tests)
Shell
48
star
30

fpga-interchange-schema

Cap'n Proto
45
star
31

AIB-specification

Home of the Advanced Interface Bus (AIB) specification.
45
star
32

cde

A Scala library for Context-Dependent Environments
Scala
39
star
33

python-fpga-interchange

Python interface to FPGA interchange format
Python
39
star
34

Cores-SweRV_fpga

Tcl
38
star
35

firrtl-spec

The specification for the FIRRTL language
TeX
34
star
36

caliptra-sw

Caliptra software (ROM, FMC, runtime firmware), and libraries/tools needed to build and test
Rust
31
star
37

espresso

C
31
star
38

UHDM-integration-tests

Verilog
29
star
39

f4pga-sdf-timing

Python library for working Standard Delay Format (SDF) Timing Annotation files.
Python
27
star
40

aib-phy-generator

AIB Generator: Analog hardware compiler for AIB PHY
Shell
26
star
41

verible-linter-action

Automatic SystemVerilog linting in github actions with the help of Verible
Python
25
star
42

riscv-fw-infrastructure

SDK Firmware infrastructure, contain RTOS Abstraction Layer, demos, SweRV Processor Support Package, and more ...
C
24
star
43

aib-protocols

SystemVerilog
20
star
44

systemc-compiler

Intel Compiler for SystemC
C++
18
star
45

tilelink

Scala
17
star
46

diplomacy

Scala
16
star
47

ideas

16
star
48

f4pga-xc7-bram-patch

Tool for updating the contents of BlockRAMs found in Xilinx 7 series bitstreams.
LLVM
15
star
49

Cores-SweRV-Support-Package

Processor support packages
Python
15
star
50

rocket

The working draft to split rocket core out from rocket chip
Scala
14
star
51

homebrew-verible

Ruby
14
star
52

tools-cocotb-verilator-build

Makefile
14
star
53

rocket-chip-fpga-shells

Wrapper shells enabling designs generated by rocket-chip to map onto certain FPGA boards
Scala
14
star
54

f4pga-bitstream-viewer

Tool for graphically viewing FPGA bitstream files and their connection to FASM features.
Python
13
star
55

caliptra-dpe

High level module that implements DPE and defines high-level traits that are used to communicate with the crypto peripherals and PCRs
Rust
13
star
56

OmnixtendEndpoint

Hardware implementation of an OmniXtend Memory Endpoint/Lowest Point of Coherence.
Bluespec
12
star
57

rocket-chip-blocks

RTL blocks compatible with the Rocket Chip Generator
Scala
12
star
58

rocket-chip-inclusive-cache

An RTL generator for a last-level shared inclusive TileLink cache controller
Scala
11
star
59

foundation

Governance-related CHIPS Alliance documents, guides etc.
10
star
60

f4pga-v2x

Tool for converting specialized annotated Verilog models into XML needed for Verilog to Routing flow.
Python
10
star
61

f4pga-xc-fasm2bels

Library to convert a FASM file into BELs importable into Vivado.
Verilog
8
star
62

fpga-interchange-tests

Repository to run extensive tests on the FPGA interchange format
Verilog
8
star
63

tree-sitter-firrtl

FIRRTL grammar for tree-sitter
C++
8
star
64

verible-formatter-action

SystemVerilog
7
star
65

f4pga-database-visualizer

JavaScript
6
star
66

tsc

CHIPS Alliance Technical Steering Committee
5
star
67

f4pga-xc-fasm

Python
5
star
68

sv-tests-results

Output of the sv-tests runs.
HTML
4
star
69

caliptra-ureg

Rust
4
star
70

rocket-pcb

PCB libraries and templates for rocket-chip based FPGA/ASIC designs
Verilog
4
star
71

chips-alliance-website

SCSS
3
star
72

f4pga-rr-graph

Collection of Routing Resources Graph (RR Graph) libraries for VPR
Python
2
star
73

vtr-xml-utils

XSLT
2
star
74

VeeRwolf

FuseSoC-based reference SoC for the VeeR CPU family
Verilog
2
star
75

EasyCLA-code_only

1
star
76

EasyCLA-specs_and_code

1
star
77

artwork

CHIPS Alliance artwork
1
star
78

caliptra-cfi

Code-flow Integrity module to mitigate glitches and fault injections
Rust
1
star
79

rocket-pcblib

1
star
80

wg-analog

CHIPS Alliance Analog Working Group
1
star
81

firtool-resolver

Scala
1
star