• Stars
    star
    14
  • Rank 1,430,675 (Top 29 %)
  • Language
    Scala
  • License
    Apache License 2.0
  • Created over 2 years ago
  • Updated 3 months ago

Reviews

There are no reviews yet. Be the first to send feedback to the community and the maintainers!

Repository Details

RTL blocks compatible with the Rocket Chip Generator

More Repositories

1

chisel

Chisel: A Modern Hardware Design Language
Scala
3,806
star
2

rocket-chip

Rocket Chip Generator
Scala
3,077
star
3

verible

Verible is a suite of SystemVerilog developer tools, including a parser, style-linter, formatter and language server
C++
1,290
star
4

riscv-dv

Random instruction generator for RISC-V processor verification
Python
997
star
5

Cores-VeeR-EH1

VeeR EH1 core
SystemVerilog
784
star
6

firrtl

Flexible Intermediate Representation for RTL
Scala
704
star
7

chisel-template

A template project for beginning new Chisel work
Scala
551
star
8

Surelog

SystemVerilog 2017 Pre-processor, Parser, Elaborator, UHDM Compiler. Provides IEEE Design/TB C/C++ VPI and Python AST & UHDM APIs. Compiles on Linux gcc, Windows msys2-gcc & msvc, OsX
C++
352
star
9

f4pga

FOSS Flow For FPGA
Python
345
star
10

sv-tests

Test suite designed to check compliance with the SystemVerilog standard.
SystemVerilog
284
star
11

f4pga-examples

Example designs showing different ways to use F4PGA toolchains.
Verilog
263
star
12

Cores-VeeR-EL2

VeeR EL2 Core
SystemVerilog
243
star
13

dromajo

RISC-V RV64GC emulator designed for RTL co-simulation
C++
206
star
14

Cores-VeeR-EH2

SystemVerilog
205
star
15

UHDM

Universal Hardware Data Model. A complete modeling of the IEEE SystemVerilog Object Model with VPI Interface, Elaborator, Serialization, Visitor and Listener. Used as a compiled interchange format in between SystemVerilog tools. Compiles on Linux gcc, Windows msys2-gcc & msvc, OsX
C++
191
star
16

silicon-notebooks

Jupyter Notebook
154
star
17

treadle

Chisel/Firrtl execution engine
Scala
151
star
18

synlig

SystemVerilog support for Yosys
Verilog
148
star
19

aib-phy-hardware

Advanced Interface Bus (AIB) die-to-die hardware open source
Verilog
117
star
20

VeeR-ISS

C++
109
star
21

Caliptra

Caliptra IP and firmware for integrated Root of Trust block
107
star
22

t1

Scala
106
star
23

fpga-tool-perf

FPGA tool performance profiling
Python
101
star
24

fasm

FPGA Assembly (FASM) Parser and Generator
Python
87
star
25

yosys-f4pga-plugins

Plugins for Yosys developed as part of the F4PGA project.
Verilog
84
star
26

omnixtend

OmniXtend cache coherence protocol
TeX
74
star
27

playground

chipyard in mill :P
Scala
72
star
28

uvm-verilator

SystemVerilog
61
star
29

caliptra-rtl

HW Design Collateral for Caliptra RoT IP
SystemVerilog
58
star
30

caliptra-sw

Caliptra software (ROM, FMC, runtime firmware), and libraries/tools needed to build and test
Rust
51
star
31

fpga-interchange-schema

Cap'n Proto
50
star
32

rocket-tools

Software tools that support rocket-chip (GNU toolchain, ISA simulator, tests)
Shell
50
star
33

riscv-vector-tests

Unit tests generator for RVV 1.0
Go
47
star
34

AIB-specification

Home of the Advanced Interface Bus (AIB) specification.
45
star
35

cde

A Scala library for Context-Dependent Environments
Scala
43
star
36

python-fpga-interchange

Python interface to FPGA interchange format
Python
39
star
37

Cores-SweRV_fpga

Tcl
38
star
38

firrtl-spec

The specification for the FIRRTL language
TeX
35
star
39

espresso

C
34
star
40

UHDM-integration-tests

Verilog
29
star
41

f4pga-sdf-timing

Python library for working Standard Delay Format (SDF) Timing Annotation files.
Python
27
star
42

aib-phy-generator

AIB Generator: Analog hardware compiler for AIB PHY
Shell
27
star
43

verible-linter-action

Automatic SystemVerilog linting in github actions with the help of Verible
Python
25
star
44

riscv-fw-infrastructure

SDK Firmware infrastructure, contain RTOS Abstraction Layer, demos, SweRV Processor Support Package, and more ...
C
24
star
45

aib-protocols

SystemVerilog
21
star
46

tilelink

Scala
21
star
47

systemc-compiler

Intel Compiler for SystemC
C++
19
star
48

ideas

18
star
49

f4pga-xc7-bram-patch

Tool for updating the contents of BlockRAMs found in Xilinx 7 series bitstreams.
LLVM
17
star
50

caliptra-dpe

High level module that implements DPE and defines high-level traits that are used to communicate with the crypto peripherals and PCRs
Rust
16
star
51

diplomacy

Scala
16
star
52

homebrew-verible

Ruby
16
star
53

rocket-chip-inclusive-cache

An RTL generator for a last-level shared inclusive TileLink cache controller
Scala
15
star
54

Cores-SweRV-Support-Package

Processor support packages
Python
15
star
55

rocket-chip-fpga-shells

Wrapper shells enabling designs generated by rocket-chip to map onto certain FPGA boards
Scala
15
star
56

rocket

The working draft to split rocket core out from rocket chip
Scala
14
star
57

tools-cocotb-verilator-build

Makefile
14
star
58

OmnixtendEndpoint

Hardware implementation of an OmniXtend Memory Endpoint/Lowest Point of Coherence.
Bluespec
13
star
59

f4pga-bitstream-viewer

Tool for graphically viewing FPGA bitstream files and their connection to FASM features.
Python
13
star
60

chisel-interface

The 'missing header' for Chisel
Scala
13
star
61

f4pga-xc-fasm2bels

Library to convert a FASM file into BELs importable into Vivado.
Verilog
11
star
62

foundation

Governance-related CHIPS Alliance documents, guides etc.
10
star
63

f4pga-v2x

Tool for converting specialized annotated Verilog models into XML needed for Verilog to Routing flow.
Python
10
star
64

fpga-interchange-tests

Repository to run extensive tests on the FPGA interchange format
Verilog
8
star
65

tree-sitter-firrtl

FIRRTL grammar for tree-sitter
C++
8
star
66

verible-formatter-action

SystemVerilog
7
star
67

rocket-pcb

PCB libraries and templates for rocket-chip based FPGA/ASIC designs
Verilog
6
star
68

f4pga-database-visualizer

JavaScript
6
star
69

tac

CHIPS Alliance Technical Advisory Council
5
star
70

caliptra-ureg

Rust
5
star
71

f4pga-xc-fasm

Python
5
star
72

sv-tests-results

Output of the sv-tests runs.
HTML
5
star
73

rvdecoderdb

The Scala parser to parse riscv/riscv-opcodes generate
Scala
5
star
74

chips-alliance-website

SCSS
3
star
75

i3c-core

SystemVerilog
3
star
76

f4pga-rr-graph

Collection of Routing Resources Graph (RR Graph) libraries for VPR
Python
2
star
77

vtr-xml-utils

XSLT
2
star
78

firrtl-syntax

TextMate-compatible description of FIRRTL syntax for use with GitHub's Linguist
2
star
79

amba

Scala
2
star
80

VeeRwolf

FuseSoC-based reference SoC for the VeeR CPU family
Verilog
2
star
81

EasyCLA-code_only

1
star
82

EasyCLA-specs_and_code

1
star
83

artwork

CHIPS Alliance artwork
1
star
84

caliptra-cfi

Code-flow Integrity module to mitigate glitches and fault injections
Rust
1
star
85

rocket-pcblib

1
star
86

wg-analog

CHIPS Alliance Analog Working Group
1
star
87

verible-actions-common

1
star
88

firtool-resolver

Scala
1
star
89

rocket-uncore

Scala
1
star