• Stars
    star
    202
  • Rank 186,636 (Top 4 %)
  • Language SystemVerilog
  • License
    Apache License 2.0
  • Created over 4 years ago
  • Updated over 1 year ago

Reviews

There are no reviews yet. Be the first to send feedback to the community and the maintainers!

Repository Details

VeeR EH2 RISC-V Core

This repository contains the VeeR EH2 RISC-V Core design RTL.

Overview

VeeR EH2 is a machine-mode (M-mode) only, 32-bit CPU core which supports RISC-V’s integer (I), compressed instruction (C), multiplication and division (M), atomic (A), and instruction-fetch fence, CSR, and subset of bit manipulation instructions (Zb*) extensions. The core is a 9-stage, dual-threaded, dual-issue, superscalar, mostly in-order pipeline with some out-of-order execution capability.

License

By contributing to this project, you agree that your contribution is governed by Apache-2.0.
Files under the tools directory may be available under a different license. Please review individual files for details.

Directory Structure

β”œβ”€β”€ configs                 # Configurations Dir
β”œβ”€β”€ design                  # Design root dir
β”‚Β Β  β”œβ”€β”€ dbg                 #   Debugger
β”‚Β Β  β”œβ”€β”€ dec                 #   Decode, Registers and Exceptions
β”‚Β Β  β”œβ”€β”€ dmi                 #   DMI block
β”‚Β Β  β”œβ”€β”€ exu                 #   EXU (ALU/MUL/DIV)
β”‚Β Β  β”œβ”€β”€ ifu                 #   Fetch & Branch Prediction
β”‚Β Β  β”œβ”€β”€ include             
β”‚Β Β  β”œβ”€β”€ lib
β”‚Β Β  └── lsu                 #   Load/Store
β”œβ”€β”€ docs
β”œβ”€β”€ tools                   # Scripts/Makefiles
└── testbench               # (Very) simple testbench
 Β Β  β”œβ”€β”€ asm                 #   Example assembly files
 Β Β  └── hex                 #   Canned demo hex files

Dependencies

  • Verilator (4.102 or later) must be installed on the system if running with Verilator
  • If adding/removing instructions, espresso must be installed (used by tools/coredecode)
  • A RISC-V tool chain (based on gcc version 7.3 or higher) must be installed so that it can be used to prepare RISC-V binaries to run.

Quickstart guide

  1. Clone the repository
  2. Setup RV_ROOT to point to the path in your local filesystem
  3. Determine your configuration {optional}
  4. Run make with tools/Makefile

Release Notes for this version

Please see release notes for changes and bug fixes in this version of VeeR.

Configurations

VeeR can be configured by running the $RV_ROOT/configs/veer.config script:

% $RV_ROOT/configs/veer.config -h for detailed help options

For example to build with a DCCM of size 64 Kb:

% $RV_ROOT/configs/veer.config -dccm_size=64

This will update the default snapshot in $PWD/snapshots/default/ with parameters for a 64K DCCM.

Add -snapshot=dccm64, for example, if you wish to name your build snapshot dccm64 and refer to it during the build.

There are 4 predefined target configurations: default, default_mt, typical_pd and high_perf that can be selected via the -target=name option to veer.config. See configs/README.md for a description of these targets.

Building an FPGA speed optimized model: Use -fpga_optimize=1 option to veer.config to build a model that removes clock gating logic from flop model so that the FPGA builds can run at higher speeds. This is now the default option for targets other than typical_pd.

Building a Power optimized model (ASIC flows): Use -fpga_optimize=0 option to veer.config to build a model that enables clock gating logic into the flop model so that the ASIC flows get a better power footprint. This is now the default option for target typical_pd.

This script derives the following consistent set of include files:

$PWD/snapshots/<snapshot_name>
β”œβ”€β”€ common_defines.vh                       # `defines for testbench or design
β”œβ”€β”€ defines.h                               # #defines for C/assembly headers
β”œβ”€β”€ eh2_param.vh                            # Design parameters
β”œβ”€β”€ eh2_pdef.vh                             # Parameter structure
β”œβ”€β”€ pd_defines.vh                           # `defines for physical design
β”œβ”€β”€ perl_configs.pl                         # Perl %configs hash for scripting
β”œβ”€β”€ pic_map_auto.h                          # PIC memory map based on configure size
└── whisper.json                            # JSON file for veer-iss
└── link.ld                                 # default linker control file

Building a model

While in a work directory:

  1. Set the RV_ROOT environment variable to the root of the VeeR directory structure.

    Example for bash shell: export RV_ROOT=/path/to/veer Example for csh or its derivatives: setenv RV_ROOT /path/to/veer

  2. Create your specific configuration

    (Skip if default is sufficient)
    (Name your snapshot to distinguish it from the default. Without an explicit name, it will update/override the default snapshot)

    For example if mybuild is the name for the snapshot, set the BUILD_PATH environment variable:

    setenv BUILD_PATH snapshots/mybuild

    And then:

    $RV_ROOT/configs/veer.config [configuration options..] -snapshot=mybuild

    Snapshots are placed in the $BUILD_PATH directory

  3. Running a simple Hello World program (verilator)

    make -f $RV_ROOT/tools/Makefile target=default_mt

This command will build a verilator model of VeeR EH2 with AXI bus, and execute a short sequence of instructions that writes out "HELLO WORLD" to the bus.

The simulation produces output on the screen like:

VerilatorTB: Start of sim

-------------------------------
Hello World from VeeR EH2 hart0
-------------------------------
-------------------------------
Hello World from VeeR EH2 hart1
-------------------------------
TEST_PASSED

Finished hart0 : minstret = 1158, mcycle = 2895
Finished hart1 : minstret = 1733, mcycle = 2822
See "exec.log" for execution trace with register updates..

The simulation generates the following files:

  • console.log contains what the cpu writes to the console address of 0xd0580000.
  • exec.log shows instruction trace with GPR updates.
  • trace_port.csv contains a log of the trace port.

When debug=1 is provided, a vcd file sim.vcd is created and can be browsed by gtkwave or similar waveform viewers.

You can re-execute simulation using: ./obj_dir/Vtb_top or make -f $RV_ROOT/tools/Makefile verilator

The simulation run/build command has the following generic form:

make -f $RV_ROOT/tools/Makefile [<simulator>] [debug=1] [snapshot=<snap>] [target=<target>] [TEST=<test>] [TEST_DIR=<path_to_test_dir>][CONF_PARAMS=<conf_switches>]

where:

<simulator> - can be 'verilator' (by default) 'irun' - Cadence xrun, 'vcs' - Synopsys VCS, 'vlog' - Mentor Questa
              if not provided, 'make' cleans work directory, builds verilator executable and runs a test.
debug=1     - allows VCD generation for verilator and VCS and SHM waves for irun option.
<target>    - predefined CPU configurations 'default' ( by default), 'default_mt', 'typical_pd', 'high_perf' 
TEST        - allows to run a C (<test>.c) or assembly (<test>.s) test, hello_world is run by default 
TEST_DIR    - alternative to test source directory testbench/asm
<snap>      - run and build executable model of custom CPU configuration, remember to provide 'snapshot' argument 
              for runs on custom configurations.
CONF_PARAMS - allows to provide veer.config command line arguments like -set=dccm_size=32 or -unset=iccm_enable..

Example:

make -f $RV_ROOT/tools/Makefile verilator TEST=cmark

will simulate the testbench/asm/cmark.c program with Verilator on the default target

If you want to compile a test only, you can run:

make -f $RV_ROOT/tools/Makefile program.hex TEST=<test> [TEST_DIR=/path/to/dir]

The Makefile uses $RV_ROOT/testbench/linker.ld file by default to build the test executable.
The user can provide a test-specific linker file in <test_name>.ld to build the test executable, in the same directory with the test source.

The user also can create a test-specific Makefile in <test_name>.makefile, contaning building instructions how to create program.hex files used by simulation. The private Makefile should be in the same directory as the test source. (the program.hex file is loaded to instruction and data bus memory slaves and optionally to DCCM/ICCM at the beginning of simulation).

Note: You may need to delete the program.hex file from the work directory, before running a new test.

The $RV_ROOT/testbench/asm directory contains the following tests ready to simulate:

hello_world       - default tes to run, prints Hello World message to screen and console.log
hello_world_dccm  - the same as above, but takes the string from preloaded DCCM.
hello_world_iccm  - the same as hello_world, but loads ICCM via LSU-DMA bridge and then executes from ICCM
cmark             - coremark benchmark running with code and data in external memories
cmark_dccm        - the same as above, running data and stack from DCCM (faster)
cmark_iccm        - the same as above, but preloading and running from ICCM 
cmark_mt          - coremark benchmark running with code and data in external memories for MT configs
cmark_dccm_mt     - the same as above, running data and stack from DCCM (faster) for MT configs
cmark_iccm_mt     - the same as above, but preloading and running from ICCM for MT configs
dhry              - dhrystone benchmark as example of multisouce program from testbench/tests/dhry directory
dhry_mt           - similar to above, but running two harts ( need to be run on MT configs )

The $RV_ROOT/testbench/hex directory contains precompiled hex files of the tests, ready for simulation in case RISC-V SW tools are not installed.

Note: The testbench has a simple synthesizable bridge that allows you to load the ICCM via load/store instructions. This is only supported for AXI4 builds.

More Repositories

1

chisel

Chisel: A Modern Hardware Design Language
Scala
3,691
star
2

rocket-chip

Rocket Chip Generator
Scala
2,986
star
3

verible

Verible is a suite of SystemVerilog developer tools, including a parser, style-linter, formatter and language server
C++
1,184
star
4

riscv-dv

Random instruction generator for RISC-V processor verification
Python
950
star
5

Cores-VeeR-EH1

VeeR EH1 core
SystemVerilog
771
star
6

firrtl

Flexible Intermediate Representation for RTL
Scala
690
star
7

chisel-template

A template project for beginning new Chisel work
Scala
517
star
8

Surelog

SystemVerilog 2017 Pre-processor, Parser, Elaborator, UHDM Compiler. Provides IEEE Design/TB C/C++ VPI and Python AST & UHDM APIs. Compiles on Linux gcc, Windows msys2-gcc & msvc, OsX
C++
328
star
9

f4pga

FOSS Flow For FPGA
Python
310
star
10

f4pga-examples

Example designs showing different ways to use F4PGA toolchains.
Verilog
259
star
11

sv-tests

Test suite designed to check compliance with the SystemVerilog standard.
SystemVerilog
257
star
12

Cores-VeeR-EL2

VeeR EL2 Core
SystemVerilog
222
star
13

dromajo

RISC-V RV64GC emulator designed for RTL co-simulation
C++
198
star
14

UHDM

Universal Hardware Data Model. A complete modeling of the IEEE SystemVerilog Object Model with VPI Interface, Elaborator, Serialization, Visitor and Listener. Used as a compiled interchange format in between SystemVerilog tools. Compiles on Linux gcc, Windows msys2-gcc & msvc, OsX
C++
178
star
15

treadle

Chisel/Firrtl execution engine
Scala
147
star
16

silicon-notebooks

Jupyter Notebook
143
star
17

synlig

SystemVerilog support for Yosys
Verilog
131
star
18

aib-phy-hardware

Advanced Interface Bus (AIB) die-to-die hardware open source
Verilog
117
star
19

VeeR-ISS

C++
103
star
20

fpga-tool-perf

FPGA tool performance profiling
Python
96
star
21

Caliptra

Caliptra IP and firmware for integrated Root of Trust block
94
star
22

fasm

FPGA Assembly (FASM) Parser and Generator
Python
85
star
23

yosys-f4pga-plugins

Plugins for Yosys developed as part of the F4PGA project.
Verilog
82
star
24

t1

Scala
81
star
25

omnixtend

OmniXtend cache coherence protocol
TeX
72
star
26

playground

chipyard in mill :P
Scala
72
star
27

uvm-verilator

SystemVerilog
52
star
28

caliptra-rtl

HW Design Collateral for Caliptra RoT IP
SystemVerilog
49
star
29

rocket-tools

Software tools that support rocket-chip (GNU toolchain, ISA simulator, tests)
Shell
48
star
30

fpga-interchange-schema

Cap'n Proto
45
star
31

AIB-specification

Home of the Advanced Interface Bus (AIB) specification.
45
star
32

cde

A Scala library for Context-Dependent Environments
Scala
39
star
33

python-fpga-interchange

Python interface to FPGA interchange format
Python
39
star
34

Cores-SweRV_fpga

Tcl
38
star
35

firrtl-spec

The specification for the FIRRTL language
TeX
34
star
36

caliptra-sw

Caliptra software (ROM, FMC, runtime firmware), and libraries/tools needed to build and test
Rust
31
star
37

espresso

C
31
star
38

UHDM-integration-tests

Verilog
29
star
39

f4pga-sdf-timing

Python library for working Standard Delay Format (SDF) Timing Annotation files.
Python
27
star
40

aib-phy-generator

AIB Generator: Analog hardware compiler for AIB PHY
Shell
26
star
41

verible-linter-action

Automatic SystemVerilog linting in github actions with the help of Verible
Python
25
star
42

riscv-fw-infrastructure

SDK Firmware infrastructure, contain RTOS Abstraction Layer, demos, SweRV Processor Support Package, and more ...
C
24
star
43

aib-protocols

SystemVerilog
20
star
44

systemc-compiler

Intel Compiler for SystemC
C++
18
star
45

tilelink

Scala
17
star
46

diplomacy

Scala
16
star
47

ideas

16
star
48

f4pga-xc7-bram-patch

Tool for updating the contents of BlockRAMs found in Xilinx 7 series bitstreams.
LLVM
15
star
49

Cores-SweRV-Support-Package

Processor support packages
Python
15
star
50

rocket

The working draft to split rocket core out from rocket chip
Scala
14
star
51

homebrew-verible

Ruby
14
star
52

tools-cocotb-verilator-build

Makefile
14
star
53

rocket-chip-fpga-shells

Wrapper shells enabling designs generated by rocket-chip to map onto certain FPGA boards
Scala
14
star
54

f4pga-bitstream-viewer

Tool for graphically viewing FPGA bitstream files and their connection to FASM features.
Python
13
star
55

caliptra-dpe

High level module that implements DPE and defines high-level traits that are used to communicate with the crypto peripherals and PCRs
Rust
13
star
56

OmnixtendEndpoint

Hardware implementation of an OmniXtend Memory Endpoint/Lowest Point of Coherence.
Bluespec
12
star
57

rocket-chip-blocks

RTL blocks compatible with the Rocket Chip Generator
Scala
12
star
58

rocket-chip-inclusive-cache

An RTL generator for a last-level shared inclusive TileLink cache controller
Scala
11
star
59

foundation

Governance-related CHIPS Alliance documents, guides etc.
10
star
60

f4pga-v2x

Tool for converting specialized annotated Verilog models into XML needed for Verilog to Routing flow.
Python
10
star
61

f4pga-xc-fasm2bels

Library to convert a FASM file into BELs importable into Vivado.
Verilog
8
star
62

fpga-interchange-tests

Repository to run extensive tests on the FPGA interchange format
Verilog
8
star
63

tree-sitter-firrtl

FIRRTL grammar for tree-sitter
C++
8
star
64

verible-formatter-action

SystemVerilog
7
star
65

f4pga-database-visualizer

JavaScript
6
star
66

tsc

CHIPS Alliance Technical Steering Committee
5
star
67

f4pga-xc-fasm

Python
5
star
68

sv-tests-results

Output of the sv-tests runs.
HTML
4
star
69

caliptra-ureg

Rust
4
star
70

rocket-pcb

PCB libraries and templates for rocket-chip based FPGA/ASIC designs
Verilog
4
star
71

chips-alliance-website

SCSS
3
star
72

f4pga-rr-graph

Collection of Routing Resources Graph (RR Graph) libraries for VPR
Python
2
star
73

vtr-xml-utils

XSLT
2
star
74

VeeRwolf

FuseSoC-based reference SoC for the VeeR CPU family
Verilog
2
star
75

EasyCLA-code_only

1
star
76

EasyCLA-specs_and_code

1
star
77

artwork

CHIPS Alliance artwork
1
star
78

caliptra-cfi

Code-flow Integrity module to mitigate glitches and fault injections
Rust
1
star
79

rocket-pcblib

1
star
80

wg-analog

CHIPS Alliance Analog Working Group
1
star
81

firtool-resolver

Scala
1
star