• Stars
    star
    13
  • Rank 1,450,917 (Top 30 %)
  • Language
    Scala
  • License
    Apache License 2.0
  • Created almost 2 years ago
  • Updated 3 months ago

Reviews

There are no reviews yet. Be the first to send feedback to the community and the maintainers!

Repository Details

Wrapper shells enabling designs generated by rocket-chip to map onto certain FPGA boards

More Repositories

1

chisel

Chisel: A Modern Hardware Design Language
Scala
3,570
star
2

rocket-chip

Rocket Chip Generator
Scala
2,907
star
3

verible

Verible is a suite of SystemVerilog developer tools, including a parser, style-linter, formatter and language server
C++
1,152
star
4

riscv-dv

Random instruction generator for RISC-V processor verification
Python
928
star
5

Cores-VeeR-EH1

VeeR EH1 core
SystemVerilog
752
star
6

firrtl

Flexible Intermediate Representation for RTL
Scala
664
star
7

Surelog

SystemVerilog 2017 Pre-processor, Parser, Elaborator, UHDM Compiler. Provides IEEE Design/TB C/C++ VPI and Python AST & UHDM APIs. Compiles on Linux gcc, Windows msys2-gcc & msvc, OsX
C++
321
star
8

f4pga

FOSS Flow For FPGA
Python
297
star
9

sv-tests

Test suite designed to check compliance with the SystemVerilog standard.
SystemVerilog
255
star
10

f4pga-examples

Example designs showing different ways to use F4PGA toolchains.
Verilog
253
star
11

Cores-VeeR-EL2

VeeR EL2 Core
SystemVerilog
208
star
12

Cores-VeeR-EH2

SystemVerilog
200
star
13

dromajo

RISC-V RV64GC emulator designed for RTL co-simulation
C++
192
star
14

UHDM

Universal Hardware Data Model. A complete modeling of the IEEE SystemVerilog Object Model with VPI Interface, Elaborator, Serialization, Visitor and Listener. Used as a compiled interchange format in between SystemVerilog tools. Compiles on Linux gcc, Windows msys2-gcc & msvc, OsX
C++
178
star
15

treadle

Chisel/Firrtl execution engine
Scala
146
star
16

silicon-notebooks

Jupyter Notebook
137
star
17

synlig

SystemVerilog support for Yosys
Verilog
118
star
18

aib-phy-hardware

Advanced Interface Bus (AIB) die-to-die hardware open source
Verilog
116
star
19

fpga-tool-perf

FPGA tool performance profiling
Python
95
star
20

VeeR-ISS

C++
94
star
21

fasm

FPGA Assembly (FASM) Parser and Generator
Python
82
star
22

yosys-f4pga-plugins

Plugins for Yosys developed as part of the F4PGA project.
Verilog
81
star
23

t1

Scala
80
star
24

Caliptra

Caliptra IP and firmware for integrated Root of Trust block
80
star
25

playground

chipyard in mill :P
Scala
71
star
26

omnixtend

OmniXtend cache coherence protocol
TeX
70
star
27

uvm-verilator

SystemVerilog
50
star
28

rocket-tools

Software tools that support rocket-chip (GNU toolchain, ISA simulator, tests)
Shell
46
star
29

AIB-specification

Home of the Advanced Interface Bus (AIB) specification.
45
star
30

caliptra-rtl

HW Design Collateral for Caliptra RoT IP
SystemVerilog
45
star
31

fpga-interchange-schema

Cap'n Proto
44
star
32

cde

A Scala library for Context-Dependent Environments
Scala
39
star
33

python-fpga-interchange

Python interface to FPGA interchange format
Python
38
star
34

Cores-SweRV_fpga

Tcl
36
star
35

firrtl-spec

The specification for the FIRRTL language
TeX
32
star
36

caliptra-sw

Caliptra software (ROM, FMC, runtime firmware), and libraries/tools needed to build and test
Rust
31
star
37

UHDM-integration-tests

Verilog
29
star
38

espresso

C
28
star
39

f4pga-sdf-timing

Python library for working Standard Delay Format (SDF) Timing Annotation files.
Python
27
star
40

aib-phy-generator

AIB Generator: Analog hardware compiler for AIB PHY
Shell
26
star
41

verible-linter-action

Automatic SystemVerilog linting in github actions with the help of Verible
Python
21
star
42

riscv-fw-infrastructure

SDK Firmware infrastructure, contain RTOS Abstraction Layer, demos, SweRV Processor Support Package, and more ...
C
21
star
43

aib-protocols

SystemVerilog
20
star
44

systemc-compiler

Intel Compiler for SystemC
C++
16
star
45

tilelink

Scala
16
star
46

f4pga-xc7-bram-patch

Tool for updating the contents of BlockRAMs found in Xilinx 7 series bitstreams.
LLVM
15
star
47

Cores-SweRV-Support-Package

Processor support packages
Python
15
star
48

rocket

The working draft to split rocket core out from rocket chip
Scala
14
star
49

homebrew-verible

Ruby
14
star
50

tools-cocotb-verilator-build

Makefile
14
star
51

f4pga-bitstream-viewer

Tool for graphically viewing FPGA bitstream files and their connection to FASM features.
Python
13
star
52

caliptra-dpe

High level module that implements DPE and defines high-level traits that are used to communicate with the crypto peripherals and PCRs
Rust
13
star
53

ideas

13
star
54

diplomacy

Scala
12
star
55

rocket-chip-blocks

RTL blocks compatible with the Rocket Chip Generator
Scala
11
star
56

OmnixtendEndpoint

Hardware implementation of an OmniXtend Memory Endpoint/Lowest Point of Coherence.
Bluespec
10
star
57

foundation

Governance-related CHIPS Alliance documents, guides etc.
10
star
58

rocket-chip-inclusive-cache

An RTL generator for a last-level shared inclusive TileLink cache controller
Scala
9
star
59

f4pga-v2x

Tool for converting specialized annotated Verilog models into XML needed for Verilog to Routing flow.
Python
9
star
60

f4pga-xc-fasm2bels

Library to convert a FASM file into BELs importable into Vivado.
Verilog
8
star
61

fpga-interchange-tests

Repository to run extensive tests on the FPGA interchange format
Verilog
8
star
62

tree-sitter-firrtl

FIRRTL grammar for tree-sitter
C++
8
star
63

verible-formatter-action

SystemVerilog
6
star
64

f4pga-database-visualizer

JavaScript
6
star
65

tsc

CHIPS Alliance Technical Steering Committee
5
star
66

f4pga-xc-fasm

Python
5
star
67

sv-tests-results

Output of the sv-tests runs.
HTML
4
star
68

rocket-pcb

PCB libraries and templates for rocket-chip based FPGA/ASIC designs
Verilog
4
star
69

chips-alliance-website

SCSS
3
star
70

caliptra-ureg

3
star
71

f4pga-rr-graph

Collection of Routing Resources Graph (RR Graph) libraries for VPR
Python
2
star
72

vtr-xml-utils

XSLT
2
star
73

VeeRwolf

FuseSoC-based reference SoC for the VeeR CPU family
Verilog
2
star
74

EasyCLA-code_only

1
star
75

EasyCLA-specs_and_code

1
star
76

artwork

CHIPS Alliance artwork
1
star
77

wg-analog

CHIPS Alliance Analog Working Group
1
star
78

caliptra-cfi

Code-flow Integrity module to mitigate glitches and fault injections
Rust
1
star
79

rocket-pcblib

1
star