• Stars
    star
    3
  • Rank 3,956,956 (Top 79 %)
  • Language SystemVerilog
  • License
    Apache License 2.0
  • Created 9 months ago
  • Updated 22 days ago

Reviews

There are no reviews yet. Be the first to send feedback to the community and the maintainers!

Repository Details

HW Design Collateral for Caliptra Subsystem, which comprises Caliptra RoT IP and additional manufacturer controls.

More Repositories

1

chisel

Chisel: A Modern Hardware Design Language
Scala
3,926
star
2

rocket-chip

Rocket Chip Generator
Scala
3,177
star
3

verible

Verible is a suite of SystemVerilog developer tools, including a parser, style-linter, formatter and language server
C++
1,336
star
4

riscv-dv

Random instruction generator for RISC-V processor verification
Python
1,010
star
5

Cores-VeeR-EH1

VeeR EH1 core
SystemVerilog
811
star
6

firrtl

Flexible Intermediate Representation for RTL
Scala
720
star
7

chisel-template

A template project for beginning new Chisel work
Scala
575
star
8

Surelog

SystemVerilog 2017 Pre-processor, Parser, Elaborator, UHDM Compiler. Provides IEEE Design/TB C/C++ VPI and Python AST & UHDM APIs. Compiles on Linux gcc, Windows msys2-gcc & msvc, OsX
C++
357
star
9

f4pga

FOSS Flow For FPGA
Python
352
star
10

sv-tests

Test suite designed to check compliance with the SystemVerilog standard.
SystemVerilog
285
star
11

VeeRwolf

FuseSoC-based SoC for VeeR EH1 and EL2
Verilog
283
star
12

f4pga-examples

Example designs showing different ways to use F4PGA toolchains.
Verilog
263
star
13

Cores-VeeR-EL2

VeeR EL2 Core
SystemVerilog
244
star
14

Cores-VeeR-EH2

SystemVerilog
213
star
15

dromajo

RISC-V RV64GC emulator designed for RTL co-simulation
C++
210
star
16

UHDM

Universal Hardware Data Model. A complete modeling of the IEEE SystemVerilog Object Model with VPI Interface, Elaborator, Serialization, Visitor and Listener. Used as a compiled interchange format in between SystemVerilog tools. Compiles on Linux gcc, Windows msys2-gcc & msvc, OsX
C++
194
star
17

synlig

SystemVerilog support for Yosys
Verilog
160
star
18

silicon-notebooks

Jupyter Notebook
156
star
19

treadle

Chisel/Firrtl execution engine
Scala
153
star
20

Caliptra

Caliptra IP and firmware for integrated Root of Trust block
119
star
21

aib-phy-hardware

Advanced Interface Bus (AIB) die-to-die hardware open source
Verilog
118
star
22

VeeR-ISS

C++
116
star
23

t1

Scala
112
star
24

fpga-tool-perf

FPGA tool performance profiling
Python
101
star
25

fasm

FPGA Assembly (FASM) Parser and Generator
Python
89
star
26

yosys-f4pga-plugins

Plugins for Yosys developed as part of the F4PGA project.
Verilog
82
star
27

omnixtend

OmniXtend cache coherence protocol
TeX
77
star
28

playground

chipyard in mill :P
Scala
74
star
29

uvm-verilator

SystemVerilog
69
star
30

caliptra-rtl

HW Design Collateral for Caliptra RoT IP
SystemVerilog
65
star
31

caliptra-sw

Caliptra software (ROM, FMC, runtime firmware), and libraries/tools needed to build and test
Rust
53
star
32

riscv-vector-tests

Unit tests generator for RVV 1.0
Go
52
star
33

fpga-interchange-schema

Cap'n Proto
51
star
34

rocket-tools

Software tools that support rocket-chip (GNU toolchain, ISA simulator, tests)
Shell
51
star
35

AIB-specification

Home of the Advanced Interface Bus (AIB) specification.
46
star
36

cde

A Scala library for Context-Dependent Environments
Scala
44
star
37

python-fpga-interchange

Python interface to FPGA interchange format
Python
41
star
38

Cores-SweRV_fpga

Tcl
39
star
39

firrtl-spec

The specification for the FIRRTL language
TeX
39
star
40

espresso

C
34
star
41

UHDM-integration-tests

Verilog
30
star
42

f4pga-sdf-timing

Python library for working Standard Delay Format (SDF) Timing Annotation files.
Python
28
star
43

aib-phy-generator

AIB Generator: Analog hardware compiler for AIB PHY
Shell
28
star
44

verible-linter-action

Automatic SystemVerilog linting in github actions with the help of Verible
Python
24
star
45

riscv-fw-infrastructure

SDK Firmware infrastructure, contain RTOS Abstraction Layer, demos, SweRV Processor Support Package, and more ...
C
24
star
46

systemc-compiler

Intel Compiler for SystemC
C++
23
star
47

tilelink

Scala
23
star
48

aib-protocols

SystemVerilog
22
star
49

chisel-nix

Nix scripts used to manage the chisel projects.
Nix
21
star
50

ideas

18
star
51

f4pga-xc7-bram-patch

Tool for updating the contents of BlockRAMs found in Xilinx 7 series bitstreams.
LLVM
17
star
52

caliptra-dpe

High level module that implements DPE and defines high-level traits that are used to communicate with the crypto peripherals and PCRs
Rust
16
star
53

diplomacy

Scala
16
star
54

homebrew-verible

Ruby
16
star
55

rocket-chip-inclusive-cache

An RTL generator for a last-level shared inclusive TileLink cache controller
Scala
15
star
56

rocket-chip-fpga-shells

Wrapper shells enabling designs generated by rocket-chip to map onto certain FPGA boards
Scala
15
star
57

chisel-interface

The 'missing header' for Chisel
Scala
15
star
58

rocket

The working draft to split rocket core out from rocket chip
Scala
14
star
59

OmnixtendEndpoint

Hardware implementation of an OmniXtend Memory Endpoint/Lowest Point of Coherence.
Bluespec
14
star
60

f4pga-bitstream-viewer

Tool for graphically viewing FPGA bitstream files and their connection to FASM features.
Python
14
star
61

rocket-chip-blocks

RTL blocks compatible with the Rocket Chip Generator
Scala
14
star
62

Cores-SweRV-Support-Package

Processor support packages
Python
14
star
63

tools-cocotb-verilator-build

Makefile
13
star
64

f4pga-xc-fasm2bels

Library to convert a FASM file into BELs importable into Vivado.
Verilog
11
star
65

foundation

Governance-related CHIPS Alliance documents, guides etc.
10
star
66

f4pga-v2x

Tool for converting specialized annotated Verilog models into XML needed for Verilog to Routing flow.
Python
10
star
67

fpga-interchange-tests

Repository to run extensive tests on the FPGA interchange format
Verilog
8
star
68

tree-sitter-firrtl

FIRRTL grammar for tree-sitter
C++
8
star
69

verible-formatter-action

SystemVerilog
7
star
70

f4pga-xc-fasm

Python
6
star
71

rocket-pcb

PCB libraries and templates for rocket-chip based FPGA/ASIC designs
Verilog
6
star
72

f4pga-database-visualizer

JavaScript
6
star
73

rocket-uncore

Scala
6
star
74

tac

CHIPS Alliance Technical Advisory Council
5
star
75

caliptra-ureg

Rust
5
star
76

sv-tests-results

Output of the sv-tests runs.
HTML
5
star
77

rvdecoderdb

The Scala parser to parse riscv/riscv-opcodes generate
Scala
5
star
78

chips-alliance-website

SCSS
3
star
79

amba

Scala
3
star
80

i3c-core

SystemVerilog
3
star
81

f4pga-rr-graph

Collection of Routing Resources Graph (RR Graph) libraries for VPR
Python
2
star
82

vtr-xml-utils

XSLT
2
star
83

firrtl-syntax

TextMate-compatible description of FIRRTL syntax for use with GitHub's Linguist
2
star
84

EasyCLA-code_only

1
star
85

EasyCLA-specs_and_code

1
star
86

artwork

CHIPS Alliance artwork
1
star
87

caliptra-cfi

Code-flow Integrity module to mitigate glitches and fault injections
Rust
1
star
88

rocket-pcblib

1
star
89

wg-analog

CHIPS Alliance Analog Working Group
1
star
90

idealchisel

Scala
1
star
91

verible-actions-common

1
star
92

firtool-resolver

Scala
1
star