matt venn (@mattvenn)
  • Stars
    star
    1,580
  • Global Rank 18,986 (Top 0.7 %)
  • Followers 471
  • Following 42
  • Registered over 13 years ago
  • Most used languages
    Verilog
    53.3 %
    Python
    23.0 %
    Makefile
    3.9 %
    Tcl
    2.0 %
    Shell
    2.0 %
    Arduino
    2.0 %
    Java
    2.0 %
    C++
    1.3 %
    HTML
    1.3 %
    C
    1.3 %
    KiCad Layout
    1.3 %
    TeX
    1.3 %
    Others
    5.6 %
  • Location πŸ‡ͺπŸ‡Έ Spain
  • Country Total Rank 249
  • Country Ranking
    nesC
    1
    Verilog
    2
    SourcePawn
    4
    Coq
    5
    KiCad Layout
    5
    D
    6
    PostScript
    6
    Tcl
    12
    Arduino
    14
    Makefile
    14
    Eagle
    24
    VHDL
    85
    Python
    140
    C++
    226
    TeX
    301
    HTML
    736
    Java
    925
    Shell
    1,075
    C
    1,210

Top repositories

1

awesome-opensource-asic-resources

217
star
2

basic-ecp5-pcb

Reference design for Lattice ECP5 FPGA. Featuring Raspberry Pi interface and 6 PMODs
Verilog
72
star
3

cad

cad files for cnc machining
Python
63
star
4

fpga-sdft

sliding DFT for FPGA, targetting Lattice ICE40 1k
Verilog
60
star
5

first-fpga-pcb

FPGA dev board based on Lattice iCE40 8k
Verilog
55
star
6

wokwi-verilog-gds-test

Verilog
55
star
7

vga-clock

Show the time on a VGA monitor. Submitted for the Google MPW1 ASIC shuttle.
Verilog
49
star
8

teensy-audio-fx

Playable effects modeled on the Teenage Engineering Pocket operator series. Featuring Teensy 4 for audio processing.
C++
48
star
9

vga_clock_pcb

Open source hardware down to the chip level!
29
star
10

multi_project_tools

tools to help make the most of the limited space we have on the Google sponsored Efabless shuttles
Python
27
star
11

ws2812-core

verilog core for ws2812 leds
Verilog
26
star
12

minim-reader

reading a british gas branded minim energy meter with a webcam and python
Python
26
star
13

gtkwave-python-filter-process

Python
26
star
14

flipflop_demo

Flip flop setup, hold & metastability explorer tool
Jupyter Notebook
25
star
15

magic-inverter

an inverter drawn in magic with makefile to simulate
Makefile
24
star
16

understanding-tinyfpga-bootloader

understanding the tinyfpga bootloader
23
star
17

fpga-virtual-graf

Verilog
22
star
18

fpga-sram

mystorm sram test
Verilog
20
star
19

logLUTs

Tool to parse yosys and nextpnr logfiles to then plot LUT, flip-flop and maximum frequency stats as your project progresses.
Python
18
star
20

efabless_project_tool

Tool to fetch and parse data about Efabless MPW projects
Python
17
star
21

crap-o-scope

crap-o-scope scope implementation for icestick
Verilog
17
star
22

kicad

Python
15
star
23

fiducial

opencv python fiducial demo
Python
14
star
24

it8512

itech it8512 python library
Python
14
star
25

openlane_summary

Python
14
star
26

xor_vga_fpga

playing with XOR video patterns on an FPGA
Verilog
13
star
27

logo-to-gds2

Python
13
star
28

simple-brushless

a cheap and simple educational brushless motor
13
star
29

instrumented_adder

Instrumenting adders to measure speed
Verilog
12
star
30

zero_to_asic_mpw4

Verilog
12
star
31

animateVCD

animate an SVG with a VCD file
Python
11
star
32

wishbone_buttons_leds

simple wishbone client to read buttons and write leds
Verilog
11
star
33

arduinosketchbook

all my arduino sketches
Arduino
11
star
34

eagle-circuit-designs

nesC
10
star
35

simulate-gate

Project 1.1 Simulate a Skywater 130nm standard cell using ngspice
Makefile
10
star
36

fpga-fft

Verilog
10
star
37

zero_to_asic_mpw5

Verilog
10
star
38

fpga-dvid-ice

Verilog
10
star
39

frequency_counter

Project 2.2 Frequency counter
Verilog
9
star
40

pyfda-cocotb-demo

Audio filtering with pyfda and cocotb
Verilog
9
star
41

spi_client

SPI client core in verilog, tested with raspberry pi SPI master
Verilog
8
star
42

intro-formal-videos-resources

resources for the Introduction to Formal Verification series of videos
Verilog
8
star
43

wrapped_rgb_mixer

Demo project for the Zero to ASIC Course.
Verilog
7
star
44

friispray

virtual graffiti
Java
7
star
45

formal-intro-course

materials for Formal Verification introduction course
Verilog
7
star
46

minecraft-pi

Python
6
star
47

gate_level_simulation

Verilog
6
star
48

hackspace-power-controller

Arduino
6
star
49

spiraliser

converts images to svg spirals
Python
6
star
50

project0_test

Project 0 CI
6
star
51

klayout_properties

6
star
52

wrapped_project_template

Template project for the Zero to ASIC course group ASIC application
Verilog
6
star
53

measure-video-latency

extremely rough and ready video latency measurement tool
D
5
star
54

mazepuzzlebox

mazepuzzlebox DXF generation and website
Python
5
star
55

zero-to-asic-www

HTML
5
star
56

energy-wristband

Python
5
star
57

waveform

PostScript
5
star
58

big-led-driver

Python
5
star
59

zero_to_asic_mpw6

MPW6 submission from the Zero to ASIC Course
Verilog
4
star
60

esp8266-breakout

KiCad Layout
4
star
61

multi-project-harness

Verilog
4
star
62

seven_segment_seconds

Demo project for Zero to ASIC course & presentations
Verilog
4
star
63

fpga-lvds-ddr

Verilog
4
star
64

ds2604-sram-driver

verilog and testbench for ds2604 8k x 8bit SRAM driver
Verilog
4
star
65

wrapped_instrumented_adder

Verilog
4
star
66

mpw1-bringup

Python
4
star
67

zero_to_asic_mpw7

Verilog
4
star
68

mph-tristate-test

Verilog
4
star
69

ADS7883-pmod

pmod breakout for 2 x ADS7883 ADC
Verilog
3
star
70

bilbao-makerfaire-fpga-workshop

3
star
71

6bit-pmod-vga

3
star
72

fpga-serial-debug

Verilog
3
star
73

fpga-waterfall-badge

Coq
3
star
74

qr-music-player

Python
3
star
75

tapeout_100

Verilog
3
star
76

laser-estimator

Attempt to estimate laser cutting time from an SVG file
Python
3
star
77

icestick-multisegment

Verilog
3
star
78

nco

numerically controlled oscillator
Verilog
3
star
79

python-workshop

Python
3
star
80

solartree

Python
3
star
81

branch-education-fma

Makefile
2
star
82

zero_to_asic_mpw3

Verilog
2
star
83

openram_z2a

Verilog
2
star
84

wrapped_function_generator

Verilog
2
star
85

atbristol-megadrawbz

Eagle
2
star
86

sky130_sram

SourcePawn
2
star
87

energy-monitor-pcbs

2
star
88

wrapped_ppm_decoder

Verilog
2
star
89

remoticon-presentation-tools

Makefile
2
star
90

raspi-workshop

resources for the raspberry pi workshop
Python
2
star
91

wrapped_wishbone_demo

Verilog
2
star
92

gnuradio

gnuradio patches
2
star
93

mpw5

Verilog
2
star
94

usbc-synth-psu

C++
2
star
95

sky130_fd_pr

2
star
96

gf180-demo

Verilog
2
star
97

rgb_panel_supercon

Verilog
2
star
98

solar-lora

KiCad Layout
2
star
99

zero_to_asic_mpw6-5c

Verilog
2
star
100

sta_examples

Tcl
2
star