• Stars
    star
    387
  • Rank 106,959 (Top 3 %)
  • Language
    Scala
  • Created about 12 years ago
  • Updated about 6 years ago

Reviews

There are no reviews yet. Be the first to send feedback to the community and the maintainers!

Repository Details

NOTE: This README.md describes (and associated repository contains) version 2.x of Chisel, and while we continue to support this version of Chisel, we encourage people to migrate to the new version: Chisel3

We've removed the Getting Started section of this document. If you're just getting started, you should be using Chisel3.

About Chisel

Chisel is a new open-source hardware construction language developed at UC Berkeley that supports advanced hardware design using highly parameterized generators and layered domain-specific hardware languages.

Chisel is embedded in the Scala programming language, which raises the level of hardware design abstraction by providing concepts including object orientation, functional programming, parameterized types, and type inference.

Visit the community website for more information.

Documentation

Documentation has been moved to a separate repository.

Chisel3

We're releasing snapshot versions of Chisel3. To facilitate the transition from Chisel2, you should ensure that your designs build and test in Chisel3 compatibility mode by passing the following arguments to Chisel:

--minimumCompatibility 3.0.0

If you invoke chiselMain() or chiselMainTest() directly, you should add these arguments to your current argument list:

object hello {
  def main(args: Array[String]): Unit = {
    chiselMainTest(Array[String]("--backend", "c", "--compile", "--test", "--genHarness", "--minimumCompatibility", "3.0.0"),
       () => Module(new HelloModule())){c => new HelloModuleTests(c)}
  }
}

This will report errors for the following Chisel3 issues:

  • Vec(Reg) should be replaced with Reg(Vec),
  • type-only vals (no associated data) must be wrapped in a Wire() if they will be the destination of a wiring operation (":=" or " < >"),
  • masked bit patterns ('b??') should be created using BitPat(), not UInt() or Bits(),
  • the "clone" method required for parameterized Bundles has been renamed "cloneType",
  • the con and alt inputs to a Mux must be type-compatible - both signed or both unsigned,
  • bulk-connection to a node that has been procedurally assigned-to is illegal,
  • != is deprecated, use =/= instead,
  • use SeqMem(...) instead of Mem(..., seqRead),
  • use SeqMem(n:Int, out: => T) instead of SeqMem(out: => T, n:Int),
  • use Mem(n:Int, t:T) instead of Mem(out:T, n:Int),
  • use Vec(n:Int, gen: => T) instead of Vec(gen: => T, n:Int),
  • Mem(..., orderedWrites) is no longer supported,
  • masked writes are only supported for Mem[Vec[_]],
  • connections between UInt and SInt are illegal.
  • module io's must be wrapped in IO().

In addition, the following incompatibilities require code changes:

  • the Node class and object no longer exist (the class should have been private in Chisel2)
  • printf() is defined in the Chisel object and produces simulation printf()'s. To use the Scala Predef.printf(), you need to qualify it with Predef.
  • in Chisel2, bulk-connects <> with unconnected source components do not update connections from the unconnected components. In Chisel3, bulk-connects strictly adhere to last connection semantics and unconnected OUTPUTs will be connected to INPUTs resulting in the assignment of random values to those inputs.

More Repositories

1

chipyard

An Agile RISC-V SoC Design Framework with in-order cores, out-of-order cores, accelerators, and more
Scala
1,415
star
2

gemmini

Berkeley's Spatial Array Generator
Scala
668
star
3

chisel-tutorial

chisel tutorial exercises and answers
Scala
643
star
4

riscv-sodor

educational microarchitectures for risc-v isa
Scala
641
star
5

riscv-mini

Simple RISC-V 3-stage Pipeline in Chisel
Scala
488
star
6

fpga-zynq

Support for Rocket Chip on Zynq FPGAs
Tcl
378
star
7

berkeley-hardfloat

Scala
264
star
8

hammer

Hammer: Highly Agile Masks Made Effortlessly from RTL
Python
231
star
9

berkeley-softfloat-3

SoftFloat release 3
C
208
star
10

dsptools

A Library of Chisel3 Tools for Digital Signal Processing
Scala
206
star
11

chiseltest

The batteries-included testing and formal verification library for Chisel-based RTL designs.
Scala
198
star
12

riscv-torture

RISC-V Torture Test
Scala
152
star
13

constellation

A Chisel RTL generator for network-on-chip interconnects
Scala
143
star
14

hwacha

Microarchitecture implementation of the decoupled vector-fetch accelerator
Scala
139
star
15

esp-llvm

UCB-BAR fork of LLVM! NOT UPSTREAM RISCV LLVM
C++
123
star
16

midas

FPGA-Accelerated Simulation Framework Automatically Transforming Arbitrary RTL
Scala
91
star
17

testchipip

Scala
74
star
18

sha3

Verilog
73
star
19

onnxruntime-riscv

Fork of upstream onnxruntime focused on supporting risc-v accelerators
C++
70
star
20

cosa

A scheduler for spatial DNN accelerators that generate high-performance schedules in one shot using mixed integer programming (MIP)
Python
66
star
21

ccbench

Memory System Microbenchmarks
C
57
star
22

zscale

Z-scale Microarchitectural Implementation of RV32 ISA
C
51
star
23

gemmini-rocc-tests

Fork of seldridge/rocket-rocc-examples with tests for a systolic array based matmul accelerator
C
48
star
24

chisel-gui

A prototype GUI for chisel-development
Scala
45
star
25

berkeley-testfloat-3

TestFloat release 3
C
42
star
26

hwacha-template

Template for projects using the Hwacha data-parallel accelerator
C
33
star
27

barstools

Useful utilities for BAR projects
Scala
31
star
28

RoSE

A unified simulation platform that combines hardware and software, enabling pre-silicon, full-stack, closed-loop evaluation of your robotic system.
Python
30
star
29

autophase

Python
27
star
30

cva6-wrapper

Wrapper for ETH Ariane Core
Scala
20
star
31

riscv-benchmarks

C
18
star
32

riscv-blas

Custom BLAS and LAPACK Cross-Compilation Framework for RISC-V
Fortran
17
star
33

nvdla-wrapper

Wraps the NVDLA project for Chipyard integration
Verilog
17
star
34

MoCA

Scala
17
star
35

libgloss-htif

A libgloss replacement for RISC-V that supports HTIF
C
16
star
36

esp-isa-sim

Custom extensions to the RISC-V isa simulator for the UCB-BAR ESP project
C
16
star
37

asyncqueue

Lightweight re-packaging of AsyncQueue library from rocket-chip
Scala
15
star
38

fpga-spartan6

Support for zScale on Spartan6 FPGAs
Verilog
15
star
39

shuttle

A Rocket-based RISC-V superscalar in-order core
Scala
13
star
40

chisel-awl

Scala
13
star
41

protoacc

Scala
11
star
42

midas-examples

Simple MIDAS Examples
Scala
10
star
43

firrtl-transform-tutorial

A template for developing custom FIRRTL transforms
Scala
10
star
44

vaesa

Learning A Continuous and Reconstructible Latent Space for Hardware Accelerator Design
Python
9
star
45

esp-tools

Shell
9
star
46

hammer-cadence-plugins

Hammer plugins for Cadence tools
Python
9
star
47

fpga-images-zedboard

prebuilt images for zedboard zynq fpga
9
star
48

context-dependent-environments

A Scala library for Context-Dependent Evironments
Scala
9
star
49

firrtl-uclid

Scala
8
star
50

chisel-sift

Scala
8
star
51

hammer-synopsys-plugins

Hammer plugins for synopsys tools
Python
8
star
52

rocket-dsp-utils

Tools for integrating DspTools components into a rocket-chip
Scala
8
star
53

midas-release

MIDAS Public Release
Scala
8
star
54

midas-top-release

MIDAS RocketChip Template
Scala
7
star
55

riscv-docker-images

Curated set of DockerFiles for RISC-V projects
Dockerfile
7
star
56

FFTGenerator

Scala
7
star
57

plsi-mdf

Macro description format
Scala
7
star
58

spec2017-workload

FireMarshal workload for SPEC2017
Python
7
star
59

midas-zynq

A zynq host-platform shell for midas generated simulators.
Tcl
7
star
60

maltese-smt

Archived! All relevant features are now part of the firrtl smt backend or the chiseltest library.
Scala
7
star
61

nvdla-workload

Base NVDLA Workload for FireMarshal
Shell
5
star
62

hwacha-net

C
5
star
63

dosa

DOSA: Differentiable Model-Based One-Loop Search for DNN Accelerators
Python
5
star
64

compress-acc

Scala
5
star
65

fixedpoint

Chisel Fixed-Point Arithmetic Library
Scala
5
star
66

esp-tests

Custom extensions to the RISC-V tests for the UCB-BAR ESP project
C
5
star
67

chipyard-toolchain-prebuilt

Pre-built riscv-gnu-toolchain binaries. You should most likely only shallow clone this.
Makefile
4
star
68

pwm-chisel-example

pwm-chisel-example for risc-v summer 2016 workshop
Scala
4
star
69

cs152-lab4

CS152 Lab 4
C
4
star
70

riscv-tools-feedstock

Shell
4
star
71

stac-top

The SRAM timing analysis chip for verifying SRAMs generated by SRAM22
Scala
3
star
72

opencl-kernels

OpenCL kernels for ucb-bar hardware
C
3
star
73

bits

Firebox Benchmarks
Python
3
star
74

chipper-tutorial

tutorial for chipper
C++
3
star
75

coremark-workload

FireMarshal workload for CoreMark EEMBC
Shell
3
star
76

spike-devices

Collection of device models for spike
C++
3
star
77

2023-winter-demo-project-power-aka-bora

Python
3
star
78

rerocc

Scala
3
star
79

vcd2step

Converts a VCD file to a Chisel tester input file
C++
2
star
80

pocl

C
2
star
81

firrtl2

UC Berkeley Copy of the FIRRTL Compiler
Scala
2
star
82

chisel-torture

A tool that generates Chisel torture tests
C++
2
star
83

fpga-images-zybo

2
star
84

ibex-wrapper

Wrapper for lowRISC Ibex
Scala
2
star
85

bar-fetchers

Berkeley Architecture Research pre-Fetchers
Scala
2
star
86

lbnl-torch

LBNL TORCH Reference Kernels
C
2
star
87

chisel-library-template

Use for developing Chisel+Firrtl libraries
2
star
88

Baremetal-IDE

A submodule of Chipyard https://github.com/ucb-bar/chipyard
HTML
2
star
89

fpga-images-zc706

2
star
90

caliptra-aes-acc

SystemVerilog
2
star
91

testers-regression

Uses gcd to do some really basic speed comparisons
Scala
1
star
92

esp-test-env

Custom extensions to the RISC-V test environments for the UCB-BAR ESP project
C
1
star
93

chisel-release

Chisel release tooling
1
star
94

sha3-workload

FireMarshal workload for the sha3 example rocc accelerator
C
1
star
95

esp-tools-feedstock

Shell
1
star
96

stac-bringup

Bringup infrastructure for the SRAM Timing Analysis Chip
C
1
star
97

esp-opcodes

Custom extensions to the RISC-V opcodes for the UCB-BAR ESP project
TeX
1
star
98

esp-gnu-toolchain

Custom extensions to the RISC-V toolchain for the UCB-BAR ESP project
C
1
star
99

Baremetal-llama

C
1
star
100

tsi

Standalone tethered serial interface (TSI) implementation with CLI utilities.
Rust
1
star