• Stars
    star
    16
  • Rank 1,304,360 (Top 26 %)
  • Language Verilog
  • Created almost 10 years ago
  • Updated almost 10 years ago

Reviews

There are no reviews yet. Be the first to send feedback to the community and the maintainers!

Repository Details

Verilog uart receiver and transmitter modules for De0 Nano

More Repositories

1

sdram-controller

Verilog SDRAM memory controller
Verilog
251
star
2

kicad-spice-demo

Demo of simulating kicad schematics in spice
Eagle
11
star
3

tls-examples

TLS access example code snippets
Makefile
10
star
4

adc_interface

Verilog ADC interface for adc128s022 found in De0 Nano
Verilog
9
star
5

intelhex

Intel HEX file generator
Ruby
8
star
6

ac97

opencores ac97 controller verilog core
Verilog
7
star
7

wb_dma

Wishbone dma/bridge controller in verily
Verilog
6
star
8

or1k-toolchain-build

OpenRISC toolchain build scripts
Shell
6
star
9

mor1kx-generic

mor1kx OpenRISC generic test harness support verilator and iverilog
Verilog
5
star
10

de0_nano-multicore

OpenRISC multicore SoC for De0 Nano
Verilog
4
star
11

adc_preamp

Kicad schematic for opamp based microphone preamp circuit used with my adc_interface project
Eagle
4
star
12

de0_nano

OpenRISC SOC for the De0 Nano FPGA dev board
Verilog
3
star
13

stffrdhrn.github.io

Github blog for stafford horne
C
3
star
14

fudosan

PHP, Twiiter Bootstrap, jQuery, CouchDB based webapp for managing real-estate property and client relationships
PHP
3
star
15

or1k-utils

linux initramfs, testing, openocd, and other random utils for openrisc
Shell
3
star
16

ompic

Open Multi-Processor Interrupt Controller - for OpenRISC
Verilog
2
star
17

junk

Dumping ground for test programs and ideas, mostly used for toolchain testing
Makefile
2
star
18

hostconfig

My backup of host and dot file config
Shell
2
star
19

cgen

architecture code generation used by binutils
Scheme
2
star
20

micron-cores

FuseSOC cores for micron verilog models
Verilog
2
star
21

digi-recorder

A digital recorder for fpga in verilog, tested on de0 nano.
Verilog
2
star
22

freemodelfoundry-cores

FuseSOC cores for free model foundry verilog models
2
star
23

verilator_tb_utils

Verilator test bench utils
C++
1
star
24

flipflop

Verilog flip flop project, basically hello world for De0 Nano
Verilog
1
star
25

beeper

Verilog wave generator and pulse width modulator (PWM) for De0 Nano
Verilog
1
star
26

wiredelay

A wire delay simulation verilog core
Verilog
1
star
27

berkeley-softfloat-2

Berkeley Softfloat 2c - with updates
C
1
star
28

egg_timer

Verilog egg timer for De0 Nano
Verilog
1
star
29

dram_tester

A testsuite for my dram controller
Verilog
1
star
30

intgen

An verilog core for testing CPU interrupts
Verilog
1
star