• Stars
    star
    9
  • Rank 1,883,924 (Top 39 %)
  • Language Verilog
  • Created over 6 years ago
  • Updated over 6 years ago

Reviews

There are no reviews yet. Be the first to send feedback to the community and the maintainers!

Repository Details

IIR Lowpass Filter

More Repositories

1

ravenoc

RaveNoC is a configurable HDL NoC (Network-On-Chip) suitable for MPSoCs and different MP applications
SystemVerilog
116
star
2

mpsoc_example

Verilog
52
star
3

nox

RISC-V Nox core
C
45
star
4

riscv_verilator_model

RISCV model for Verilator/FPGA targets
C
40
star
5

axi_dma

General Purpose AXI Direct Memory Access
SystemVerilog
28
star
6

ahb_lite_bus

AHB Bus lite v3.0
SystemVerilog
11
star
7

mqtt-sn-contiki_example

Example of MQTT-SN with Contiki-OS for blog.aignacio.com
Makefile
6
star
8

cocotbext-ahb

Cocotb AHB Extension - AHB VIP
Python
5
star
9

cdc_components

Collection of different designs for clock domain crossing
Python
4
star
10

nox_freertos

C
4
star
11

mser

MATLAB Implementation of MSER LT algorithm
MATLAB
3
star
12

deca_board_demo

Verilog
2
star
13

esp_homestark

Fork from [ https://github.com/tuanpmt/esp_mqtt + https://github.com/tuanpmt/esp_mqtt ]
C
2
star
14

hwacha_vvadd_benchmark

Benchmark for Hwacha vector accelerator of vvadd computation tweaked
C
2
star
15

cyclonev_example

Files for "Getting Through Cyclone V" post from blog.aignacio.com
C
2
star
16

mser_baremetal

Makefile
1
star
17

exampleSTMF32Bluepill

Example of STMF32 App for blog.aignacio.com
C
1
star
18

homestark_mqtt_6lowpan_port

Porte do MQTT-SN para o Contiki
HTML
1
star
19

zigbee_tests

Smart sensor node Network using Z-stack from texas instruments and CC2530
C
1
star
20

soc_components

SystemVerilog
1
star
21

segmentation_opencv_linux

C++ Application to segment an input image
C++
1
star
22

tiva_arm_template

Tools for a complete environment to develop on TIVA launchpad arm {TM4C123GH6PM}
C
1
star
23

udp-contiki-ipv6

Demo code for post on blog.aignacio.com about using UDP IPv6 server with Cooja and Contiki
Makefile
1
star
24

async_gp_fifo

Python
1
star
25

ethernet_axi

AXI wrapper around Ethernet module
SystemVerilog
1
star